深圳市卓精微智能机器人设备有限公司专业生产:烧录机,ic烧录机,芯片烧录机,自动烧录机,深圳烧录机,烧录机厂家,全自动烧录机等产品,欢迎咨询了解。 潘经理:13715317761

深圳市卓精微智能机器人设备有限公司

 
 
芯片制程之战:最烧钱的技术战! 史上最全的半导体产业链全景!
芯片制程之战:最烧钱的技术战!



作者:吴老师   来源: 吴老师(ID:ccnu_5G)

吴导有言

2020年伊始,全球半导体先进制程之战已然火花四射。从华为和苹果打响7nm旗舰手机芯片第一枪开始,7nm芯片产品已是百花齐放之势,5nm芯片也将在下半年正式首秀。这些逐渐缩小的芯片制程数字,正是全球电子产品整体性能不断进化的核心驱动力。


通往更先进制程的道路犹如攀登高峰,极高的技术难度和研发成本将大多数芯片选手拦在半山腰,目前全球唯有台积电、英特尔、三星还在向峰顶冲刺。三星成功研发3nm芯片,台积电3nm芯片晶体管密度达2.5亿/mm²,英特尔官宣制程回归。

微信图片_20210327094537.png

在全球备战更先进制程的关键节点,本文围绕晶体管五大关键环节,探讨先进制程冲刺战中的核心技术及玩家格局。

微信图片_20210327094542.jpg

芯片制程描述的是芯片晶体管栅极宽度的大小,纳米数字越小,晶体管密度越大,芯片性能就越高。

微信图片_20210327094548.jpg

各家对制程工艺的命名法则不同,在相同纳米制程下,并不能对各制程技术做直观比较。比如英特尔10nm的晶体管密度与三星7nm、台积电7nm的晶体管密度相当。

微信图片_20210327094553.png

从制程进展来看,一边是三星台积电在5nm/3nm等制程上你追我赶,另一边是英特尔循序渐进地走向7nm。

5nm方面,台积电已经拿到苹果和华为的手机芯片订单。三星的5nm制程相对落后,正在与谷歌合作开发Exynos芯片组,将搭载于谷歌的Chrome OS设备、Pixel智能手机甚至中心数据服务器中。

3nm方面,台积电预计2021年开始试生产,2022年开始量产。三星原计划2021年量产3nm工艺,但受当前疫情影响,不量产时间可能会推迟。

为什么挺进先进制程的玩家选手屈指可数呢?主要源于两大门槛:资本和技术。制程工艺的研发和生产成本呈指数上涨,单从资金数目来看,很多中小型晶圆厂就玩不起。


更高的研发和生产对应的是更难的技术挑战。每当制程工艺逼近物理极限,芯片性能天花板就取决于晶体管结构、光刻、沉积、刻蚀、检测、封装等技术的创新与协同配合。
晶体管在芯片中起到开关作用,通过影响相互的状态传递信息。

微信图片_20210327094559.jpg

几十年来,基于平面Planar晶体管芯片一直是市场热销设备。然而制程技术发展到后期,平面晶体管开始遇到漏极源极间距过近的瓶颈。3D鳍式场效晶体管(FinFET)成为延续摩尔定律的革命性技术,为工艺技术创新做出了核心贡献。
2011年,英特尔转向22nm FinFET。相比平面晶体管,FinFET在工艺节点减小时,电压缩放、切换速度和电流密度均显著提升。
FinFET已经历两个工艺世代,台积电5nm FinFET晶体管工艺的芯片也将在下半年问世。
随着深宽比不断拉高,FinFET也逼近了物理极限,为了制造出密度更高的芯片,环绕式栅极晶体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于FinFET,GAAFET的沟道被栅极包围,沟道电流比FinFET更加顺畅,能进一步改善对电流的控制,从而优化栅极长度的微缩。
三星名为多桥通道FET(MBCFET,Multi-Bridge Channel FET)的 GAA技术,用纳米片替换纳米线周围的栅极,实现每堆更大的电流。

微信图片_20210327094603.png

与现有GAAFET不一样的是,在forksheet FET中,nFET和pFET都集成在同一结构中,间距小并减少密集缩放, forksheet具有的接触栅极间距均低于Nanosheet 的接触栅极间距。
Complementary FET(CFET)是另一种类型的GAA器件,由两个单独的FET组成,消除了n-p分离的瓶颈,减少电池有效面积。
英特尔的3nm也将采用CFET。 但CFET及相关的晶体管存在散热等问题,需要在各环节更新技术和设备。

微信图片_20210327094608.jpg

雕刻电路图案的核心制造设备是光刻机,它的精度决定了制程的精度。 光刻机的运作原理是先把设计好的芯片图案印在掩膜上,用激光穿过掩膜和光学镜片,将芯片图案曝光在带有光刻胶涂层的硅片上,涂层被激光照到之处则溶解,没有被照到之处保持不变,掩膜上的图案就被雕刻到芯片光刻胶涂层上。

微信图片_20210327094642.jpg

目前193nm浸没式光刻是 最成熟、应用最广的技术,等到7nm及更先进的技术节点时,则需要波长更短的极紫外(EUV)光刻技术来实现制程。

微信图片_20210327094649.png

Imec和ASML成立了联合研究实验室,专注于3nm节点的元件制造蓝图, 根据ASML年报,他们将采用high-NA技术研发下一代极紫外光刻机,产品将有更高的分辨率、数值孔径和覆盖能力。值得一提的是,英特尔与ASML的光刻机设备的量产时间相吻合,大约在2024年前后。


Imec重点投入的研发领域包括光罩的防尘薄膜技术、光阻技术、工艺优化。一方面,更高的光阻剂往往会增加缺陷率,另一方面,光罩防尘薄膜发展相对缓慢。
为了将微电子器件造的更小,必须把越来越多的电路放进更小的薄膜结构中,与半导体工艺兼容的刻蚀和沉积技术也需要随着提升。在硅片衬底上生成特定薄膜层的工艺就是薄膜沉积,所沉积的薄膜可以是导体、绝缘材料或半导体材料。刻蚀机根据印上去的图案刻蚀,留下剩余的部分,芯片图案就可以从光刻胶涂层转移到了硅片上。


将材料以单原子膜形式一层一层的镀在衬底表面就是所谓的原子层沉积(ALD)技术可将,选择性沉积是一种先进的自对准图案化技术,将化学方法与MLD工具结合在一起,可减少流程中的光刻和刻蚀步骤。从理论上讲,选择性沉积可用于沉积金属或沉积电介质。不过目前区域选择性沉积仍存在一定挑战,有待持续研发。

微信图片_20210327094654.png

嵌段共聚物视是生产紧密图案化表面的一种方式。嵌段共聚物将性质不同的聚合物链段连在一起,制成一种线型聚合物,得到性能更为优越的聚合物材料。 这种刻蚀技术可以选择性去除MLD层,不会影响到附近的ALD层,精确控制了纳米级材料的几何形状。

微信图片_20210327094659.png

芯片进入量产前需要 对芯片进行检测,就是使用各种系统来查找芯片的缺陷。晶圆检测分为两类:光学和电子束。光学检查速度快,但分辨率受限;电子束检测分辨率更好,但速度偏慢。
因此很多公司均在开发多光束电子束检测系统,最好能以较高的速度发现最不显眼的缺陷。ASML开发了一种具有9条光束的电子束检测工具。
芯片制造商还使用各种量测系统来测量芯片结构。微距量测扫描式电子显微镜(CD-SEM)进行自上而下的量测,光学CD系统则使用偏振光来表征结构。
被称为临界尺寸小角X射线散射(CD-SAXS)的X射线量测技术 是一种无损量测技术,使用小光束尺寸的可变角度透射散射来量测,其优点是能提供更高的分辨率,避免了OCD参数相关性问题,且计算更加简单。但X射线是由R&D的大型同步加速器存储环产生的,这对晶圆厂来说很不切实际。CD-SAXS需要紧凑的X射线源,问题在于X射线源有限且速度慢,影响吞吐量,其成本也是一个问题,该技术仍处于概念阶段,X射线强度还将面临挑战。
封装技术能让内存更接近逻辑处理单元,提升信号传输速率和互联密度。传统方法是缩小节点上不同的芯片功能,并将它们封装到一个单片芯片上。通过封装可以低功耗并增加内存带宽。在研发先进的封装技术,以增加晶体管速度,从而提高整个系统性能的道路上,英特尔主推EMIB工艺,台积电主推CoWoS工艺,三星主推FOPLP。

微信图片_20210327094704.png


小芯片chipset是一种实现异构集成的新形式,通过在特定空间堆叠多种芯片,实现更快的开发速度和更高的计算力。台积电采用COWOS封装技术和LIPINCON互连技术,将大型多核设计划分成多个小芯片,实现更高的良率和更好的经济性。英特尔将不同IP、不同工艺的方案封装在一起,从而省去漫长的再制作过程。



随着 摩尔定律的推进节奏逐渐趋缓,半导体制程的不断发展,想要延续摩尔定律的生命力需要技术和设备的创新突破。半导体行业大约每隔20年,就会有新的危机出现,20年前,大家一度非常悲观,看不清如何才能将芯片做得更好。如今半导体行业到了20年周期的危机循环节点,谁都不知道未来半导体行业的创新发展路在何方?

这个问题的答案,也许藏在 5G、AI等新兴技术里,也许藏在半导体的新模式、器件和技术里,半导体行业在不断探索前行。无论未来谁是创新风暴的引领者,最终受益的都是享用更高性能电子产品的每一个人。

微信图片_20210327094708.png

史上最全的半导体产业链全景!

来源:ittbank


集成电路作为半导体产业的核心,市场份额达83%,由于其技术复杂性,产业结构高度专业化。随着产业规模的迅速扩张,产业竞争加剧,分工模式进一步细化。目前市场产业链为IC设计、IC制造和IC封装测试。


  • 在核心环节中,IC设计处于产业链上游,IC制造为中游环节,IC封装为下游环节。

  • 全球集成电路产业的产业转移,由封装测试环节转移到制造环节,产业链里的每个环节由此而分工明确。

  • 由原来的IDM为主逐渐转变为Fabless+Foundry+OSAT。

微信图片_20210327094714.jpg


微信图片_20210327094718.jpg

微信图片_20210327094723.jpg

微信图片_20210327094729.jpg

微信图片_20210327094843.jpg

微信图片_20210327094848.jpg

微信图片_20210327094852.jpg

微信图片_20210327094856.png


▲全球半导体产业链收入构成占比图


1设计:细分领域具备亮点,核心关键领域设计能力不足。从应用类别(如:手机到汽车)到芯片项目(如:处理器到FPGA),国内在高端关键芯片自给率几近为0,仍高度仰赖美国企业;

2设备:自给率低,需求缺口较大,当前在中端设备实现突破,初步产业链成套布局,但高端制程/产品仍需攻克。中国本土半导体设备厂商只占全球份额的1-2%,在关键领域如:沉积、刻蚀、离子注入、检测等,仍高度仰赖美国企业;

3材料:在靶材等领域已经比肩国际水平,但在光刻胶等高端领域仍需较长时间实现国产替代。全球半导体材料市场规模443 亿美金,晶圆制造材料供应中国占比10%以下,部分封装材料供应占比在30%以上。在部分细分领域上比肩国际领先,高端领域仍未实现突破;

4制造:全球市场集中,台积电占据60%的份额,受贸易战影响相对较低。大陆跻身第二集团,全球产能扩充集中在大陆地区。代工业呈现非常明显的头部效应,在全球前十大代工厂商中,台积电一家占据了60%的市场份额。此行业较不受贸易战影响;

5封测:最先能实现自主可控的领域。封测行业国内企业整体实力不俗,在世界拥有较强竞争力,长电+华天+通富三家17 年全球整体市占率达19%,美国主要的竞争对手仅为Amkor。此行业较不受贸易战影响。


一、设计

按地域来看,当前全球IC 设计仍以美国为主导,中国大陆是重要参与者。2017 年美国IC设计公司占据了全球约53%的最大份额,IC Insight 预计,新博通将总部全部搬到美国后这一份额将攀升至69%左右。台湾地区IC 设计公司在2017 年的总销售额中占16%,与2010年持平。联发科、联咏和瑞昱去年的IC 销售额都超过了10 亿美元,而且都跻身全球前二十大IC 设计公司之列。欧洲IC 设计企业只占了全球市场份额的2%,日韩地区Fabless 模式并不流行。


与非美国海外地区相比,中国公司表现突出。世界前50 fabless IC 设计公司中,中国公司数量明显上涨,从2009 年1 家增加至2017 年10 家,呈现迅速追赶之势。2017 年全球前十大Fabless IC 厂商中,美国占据7 席,包括高通、英伟达、苹果、AMD、Marvell、博通、赛灵思;中国台湾地区联发科上榜,大陆地区海思和紫光上榜,分别排名第7 和第10。

2017 年全球前十大Fables s IC 设计厂商(百万美元)

微信图片_20210327094901.jpg


然而,尽管大陆地区海思和紫光上榜,但可以看到的是,高通、博通和美满电子在中国区营收占比达50%以上,国内高端 IC 设计能力严重不足。可以看出,国内对于美国公司在核心芯片设计领域的依赖程度较高。


微信图片_20210327094906.jpg


自中美贸易战打响后,通过“中兴事件”和“华为事件”我们可以清晰的看到,核心的高端通用型芯片领域,国内的设计公司可提供的产品几乎为0。


微信图片_20210327094911.jpg


大陆高端通用芯片与国外先进水平差距主要体现在四个方面:

1)移动处理器的国内外差距相对较小。

紫光展锐、华为海思等在移动处理器方面已进入全球前列。


2)中央处理器(CPU) 是追赶难度最大的高端芯片。

英特尔几乎垄断了全球市场,国内相关企业约有 3-5 家,但都没有实现商业量产,多仍然依靠申请科研项目经费和政府补贴维持运转。龙芯等国内 CPU 设计企业虽然能够做出 CPU 产品,而且在单一或部分指标上可能超越国外 CPU,但由于缺乏产业生态支撑,还无法与占主导地位的产品竞争。


3)存储器国内外差距同样较大。

目前全球存储芯片主要有三类产品,根据销售额大小依次为:DRAM、NAND Flash 以及Nor Flash。在内存和闪存领域中,IDM 厂韩国三星和海力士拥有绝对的优势,截止到2017年,在两大领域合计市场份额分别为75.7%和49.1%,中国厂商竞争空间极为有限,武汉长江存储试图发展 3D Nand Flash(闪存)的技术,但目前仅处于 32 层闪存样品阶段,而三星、英特尔等全球龙头企业已开始陆续量产 64 层闪存产品;在Nor flash 这个约为三四十亿美元的小市场中,兆易创新是世界主要参与厂家之一,其他主流供货厂家为台湾旺宏,美国Cypress,美国美光,台湾华邦。


4)FPGA、AD/DA 等高端通用型芯片,国内外技术悬殊。

这些领域由于都是属于通用型芯片,具有研发投入大,生命周期长,较难在短期聚集起经济效益,因此在国内公司层面发展较为缓慢,甚至有些领域是停滞的。

微信图片_20210327094915.jpg


总的来看,芯片设计的上市公司,都是在细分领域的国内最强。比如2017 年汇顶科技在指纹识别芯片领域超越FPC 成为全球安卓阵营最大指纹IC 提供商,成为国产设计芯片在消费电子细分领域少有的全球第一。士兰微从集成电路芯片设计业务开始,逐步搭建了芯片制造平台,并已将技术和制造平台延伸至功率器件、功率模块和MEMS 传感器的封装领域。但与国际半导体大厂相比,不管是高端芯片设计能力,还是规模、盈利水平等方面仍有非常大的追赶空间。


微信图片_20210327094920.jpg

微信图片_20210327094924.jpg



二、设备

目前,我国半导体设备的现况是低端制程实现国产替代,高端制程有待突破,设备自给率低、需求缺口较大。


关键设备技术壁垒高,美日技术领先,CR10 份额接近80%,呈现寡头垄断局面。半导体设备处于产业链上游,贯穿半导体生产的各个环节。按照工艺流程可以分为四大板块——晶圆制造设备、测试设备、封装设备、前端相关设备。其中晶圆制造设备占据了中国市场70%的份额。再具体来说,晶圆制造设备根据制程可以主要分为8 大类,其中光刻机、刻蚀机和 薄膜沉积设备这三大类设备占据大部分的半导体设备市场。同时设备市场高度集中,光刻机、CVD 设备、刻蚀机、PVD 设备的产出均集中于少数欧美日本巨头企业手上。

微信图片_20210327094928.jpg

微信图片_20210327094934.jpg


中国半导体设备国产化率低,本土半导体设备厂商市占率仅占全球份额的1-2%。


微信图片_20210327094940.jpg

微信图片_20210327094944.jpg

关键设备在先进制程上仍未实现突破。目前世界集成电路设备研发水平处于12 英寸7nm,生产水平则已经达到12 英寸14nm;而中国设备研发水平还处于12 英寸14nm,生产水平为12 英寸65-28nm,总的来看国产设备在先进制程上与国内先进水平有2-6 年时间差;具体来看65/55/40/28nm 光刻机、40/28nm 的化学机械抛光机国产化率依然为0,28nm化学气相沉积设备、快速退火设备、国产化率很低。


三、材料

半导体材料发展历程

微信图片_20210327094949.jpg

  • Si:主要应用于集成电路的晶圆片和功率器件;

  • GaAs:主要应用于大功率发光电子器件和射频器件;

  • GaN主要应用于光电器件和微波通信器件;

  • SiC主要应用于功率器件

微信图片_20210327094953.jpg

各代代表性材料主要应用


微信图片_20210327094957.jpg

第二、三代半导体材料技术成熟度


细分领域已经实现弯道超车,核心领域仍未实现突破,半导体材料主要分为晶圆制造材料和封装材料两大块。晶圆制造材料中,硅片机硅基材料最高占比31%,其次依次为光掩模版14%、光刻胶5%及其光刻胶配套试剂7%。封装材料中,封装基板占比最高,为40%,其次依次为引线框架16%,陶瓷基板11%,键合线15%。


日美德在全球半导体材料供应上占主导地位。各细分领域主要玩家有:硅片——Shin-Etsu、Sumco,光刻胶——TOK、Shipley,电子气体——Air Liquid、Praxair,CMP——DOW、3M,引线架构——住友金属,键合线——田中贵金属、封装基板——松下电工,塑封料——住友电木。

微信图片_20210327095002.png

微信图片_20210327095007.jpg

(1)靶材、封装基板、CMP 等,我国技术已经比肩国际先进水平的、实现大批量供货、可以立刻实现国产化。已经实现国产化的半导体材料典例——靶材。

(2)硅片、电子气体、掩模板等,技术比肩国际、但仍未大批量供货的产品。

(3)光刻胶,技术仍未实现突破,仍需要较长时间实现国产替代。


四、制造

晶圆制造环节作为半导体产业链中至关重要的工序,制造工艺高低直接影响半导体产业先进程度。过去二十年内国内晶圆制造环节发展较为滞后,未来在国家政策和大基金的支持之下有望进行快速追赶,将有效提振整个半导体行业链的技术密度。


半导体制造在半导体产业链里具有卡口地位。制造是产业链里的核心环节,地位的重要性不言而喻。统计行业里各个环节的价值量,制造环节的价值量最大,同时毛利率也处于行业较高水平,因为Fabless+Foundry+OSAT 的模式成为趋势,Foundry 在整个产业链中的重要程度也逐步提升,可以这么认为,Foundry 是一个卡口,产能的输出都由制造企业所掌控。


代工业呈现非常明显的头部效应 根据IC Insights 的数据显示,在全球前十大代工厂商中,台积电一家占据了超过一半的市场份额,2017 年前八家市场份额接近90%,同时代工主要集中在东亚地区,美国很少有此类型的公司,这也和产业转移和产业分工有关。我们认为,中国大陆通过资本投资和人才集聚,是有可能在未来十年实现代工超越的。

微信图片_20210327095011.jpg

微信图片_20210327095015.jpg

“中国制造”要从下游往上游延伸,在技术转移路线上,半导体制造是“中国制造”尚未攻克的技术堡垒。中国是个“制造大国”,但“中国制造”主要都是整机产品,在最上游的“芯片制造”领域,中国还和国际领先水平有很大差距。在从下游的制造向“芯片制造”转移过程中,一定要涌现出一批技术领先的晶圆代工企业。在芯片贸易战打响之时,美国对我国制造业技术封锁和打压首当其冲,我们在努力传承“两弹一星”精神,自力更生艰苦创业的同时,如何处理与台湾地区先进企业台积电、联电之间的关系也会对后续发展产生较大的蝴蝶效应。


五、封测

当前大陆地区半导体产业在封测行业影响力为最强,市场占有率十分优秀,龙头企业长电科技/通富微电/华天科技/晶方科技市场规模不断提升,对比台湾地区公司,大陆封测行业整体增长潜力已不落下风,台湾地区知名IC 设计公司联发科、联咏、瑞昱等企业已经将本地封测订单逐步转向大陆同业公司。封测行业呈现出台湾地区、美国、大陆地区三足鼎立之态,其中长电科技/通富微电/华天科技已通过资本并购运作,市场占有率跻身全球前十(长电科技市场规模位列全球第三),先进封装技术水平和海外龙头企业基本同步,BGA、WLP、SiP 等先进封装技术均能顺利量产。


封测行业我国大陆企业整体实力不俗,在世界拥有较强竞争力,美国主要的竞争对手为Amkor 公司,在华业务营收占比约为18%,封测行业美国市场份额一般,前十大封测厂商中,仅有Amkor 公司一家,应该说贸易战对封测整体行业影响较小,从短中长期而言,Amkor 公司业务取代的可能性较高。

微信图片_20210327095020.jpg

封测行业位于半导体产业链末端,其附加价值较低,劳动密集度高,进入技术壁垒较低,封测龙头日月光每年的研发费用占收入比例约为4%左右,远低于半导体IC 设计、设备和制造的世界龙头公司。随着晶圆代工厂台积电向下游封测行业扩张,也会对传统封测企业会构成较大的威胁。


2017-2018 年以后,大陆地区封测(OSAT)业者将维持快速成长,目前长电科技/通富微电已经能够提供高阶、高毛利产品,未来的3-5 年内,大陆地区的封测企CAGR增长率将持续超越全球同业。

微信图片_20210327095025.jpg

微信图片_20210327095029.jpg

微信图片_20201111164802.png

深圳市卓精微智能机器人设备有限公司成立于2010年,是一家专业研究IC(集成电路)的烧录、功能测试、物料、标识、包装转换以及CCD来料检查等技术的高科技企业。公司拥有多项国家级软件和技术专利类自主知识产权,拥有一批由博士后、博士、硕士组成的专家级技术团队,公司自主经营、自主品牌,是国内IC烧录/测试智能设备行业的领军型企业。

微信图片_20210327094200.png

文章来源:网络,本文系网络转载,版权归原作者所有。但因转载众多,无法确认真正原始作者,故仅标明转载来源。本文所用视频、图片、文字如涉及作品版权问题,请第一时间告知,电话:0755-278888852。我们将立即删除内容!本文内容为原作者观点,并不代表本公众号赞同其观点和对其真实性负责。


最新新闻
查看更多
+

在线咨询

点击咨询
QQ:1074385598