深圳市卓精微智能机器人设备有限公司专业生产:烧录机,ic烧录机,芯片烧录机,自动烧录机,深圳烧录机,烧录机厂家,全自动烧录机等产品,欢迎咨询了解。 潘经理:13715317761

深圳市卓精微智能机器人设备有限公司

 
 
一文看懂FPGA芯片产业链

一、FPGA芯片定义及分类


1、FPGA芯片定义及物理结构


FPGA(Field Programmable Gate Array)芯片基于可编程器件(PAL、GAL)发展而来,是半定制化、可编程的集成电路。


发明者:赛灵思联合创始人Ross Freeman于1984年发明FPGA集成电路结构。全球第一款商用FPGA芯片为赛灵思XC4000系列FPGA产品。


FPGA芯片按固定模式处理信号,可执行新型任务(计算任务、通信任务等)。FPGA芯片相对专用集成电路(如ASIC芯片)更具灵活性,相对传统可编程器件可添加更大规模电路数量以实现多元功能。


物理结构:FPGA芯片主要由三部分组成,分别为IOE(input output element,输入输出单元)、LAB(logic array block,逻辑阵列块,赛灵思定义为可配置逻辑块CLB)以及Interconnect(内部连接线)。


image.png

2、FPGA芯片的特点及分类


FPGA芯片在实时性(数据信号处理速度快)、灵活性等方面具备显著优势,在深度学习领域占据不可替代地位,同时具有开发难度高的特点。


FPGA芯片具备以下特点:


适用性强:是专用电路中开发周期最短、应用风险最低的器件之一(部分客户无需投资研发即可获得适用FPGA芯片)。


并行计算:FPGA内部结构可按数据包步骤多少搭建相应数量流水线,不同流水线处理不同数据包,实现流水线并行、数据并行功能。


兼容性强:FPGA芯片可与CMOS、TTL等大规模集成电路兼容,协同完成计算任务。


设计灵活:属于硬件可重构的芯片结构,内部设置数量丰富的输入输出单元引脚及触发器。


地位提升:早期在部分应用场景是ASIC芯片的批量替代品;近期随微软等头部互联网企业数据中心规模扩大,FPGA芯片应用范围扩大。


FPGA厂商主要提供基于两种技术类型的FPGA芯片:Flash技术类、SRAM技术类(Static Random-access Memory,静态随机存取存储器)。两类技术均可实现系统层面编程功能,具备较高计算性能,系统门阵列密度均可超过1兆。


核心区别:基于Flash的可编程器件具备非易失性特征,即电流关闭后,所存储数据不消失。而基于SRAM技术的FPGA芯片不具备非易失性特征,是应用范围最广泛的架构。

12.gif

236.png

二、FPGA芯片与其他主流芯片对比


CPU为通用型器件,FPGA架构相对CPU架构偏重计算效率,依托FPGA并行计算处理视觉算法可大幅提升计算速率,降低时延


1、FPGA芯片相较于CPU芯片


CPU处理计算指令流程:CPU通过专用译码器接收任务指令,接收过程分为两步:指令获取(CPU从专门存放指令的存储器中提取执行指令)以及指令翻译(根据特定规则将指令翻译为数据并传输至计算单元)。其中计算单元为晶体管(CPU基本元件),“开”、“关”分别对应“1”、“0”机器码数字。 CPU处理计算指令特点:• CPU物理结构包括Control(指令获取、指令翻译)、Cache(临时指令存储器)、计算单元ALU(约占CPU空间20%)。


CPU为通用型计算任务处理核心,可处理来自多个设备的计算请求,可随时终止当前运算,转向其他运算。• 逻辑控制单元及指令翻译结构较为复杂,可从中断点继续计算任务,为实现高度通用性而牺牲计算效率。

CPU视觉算法与FPGA视觉算法比较:


 CPU架构:CPU用于处理视觉算法需按指定顺序执行指令,第一指令在图像整体运行完成后,第二指令开始运行。在4步操作指令环境下,设定单个操作指令运行需10毫秒,完成总算法耗时约40毫秒。


FPGA架构:FPGA用于处理视觉算法采取规模化并行运算模式,可于图像不同像素内同时运行4步操作指令。设定单个操作操作指令运行需10毫秒,FPGA完成图像整体视觉算法处理时间仅为10毫秒,FPGA图像处理速度显著快于CPU。


“FPGA+CPU”架构:此架构下,图像在CPU与FPGA之间传输,包含传输时间在内的算法整体处理时间仍低于纯CPU架构。


算法案例:以卷积滤镜图像锐化计算任务为例,系统需通过阈值运行图像生产二进制图像。CPU架构下,系统需在阈值步骤前完成图像整体卷积步骤,FPGA则支持相同算法同时运行,相对CPU架构,卷积计算速度提升约20倍。


image.png

2、FPGA芯片相较于GPU芯片


GPU物理结构:GPU为图形处理器,针对各类计算机图形绘制行为进行运算(如顶点设置、光影操作、像素操作等),标准GPU包括2D引擎、3D引擎、视频处理引擎、显存管理单元等。其中,3D引擎包含T&L单元、 PiexlShader等。


GPU处理计算指令流程:


顶点处理:GPU读取3D图形顶点数据,根据外观数据确定3D图形形状、位置关系,建立3D图形骨架。


光栅化计算:显示器图像由像素组成,系统需将图形点、线通过算法转换至像素点。矢量图形转换为像素点为光栅化计算过程。


纹理贴图:通过纹理映射对多变形表面进行贴图处理,进而生成真实图形。


像素处理:GPU对光栅化完成的像素进行计算、处理,确定像素最终属性,多通过Pixel Shader(像素着色器)完成。


GPU与FPGA特点对比:


峰值性:GPU计算峰值(10Tflops)显著高于FPGA计算峰值(小于1TFlops)。GPU架构依托深度流水线等技术可基于标准单元库实现手工电路定制。相对而言,FPGA设计资源受限,型号选择决定逻辑资源上限(浮点运算资源占用较高),FPGA逻辑单元基于SRAM查找表,布线资源受限。


内存接口:GPU内存接口(双倍数据传输率存储器等)带宽优于FPGA使用的DDR(双倍速率同步动态随机存储器)接口,满足机器学习频繁访问内存需求。


灵活性:FPGA可根据特定应用编程硬件,GPU设计完成后无法改动硬件资源,远期机器学习使用多条指令平行处理单一数据,FPGA硬件资源灵活性更能满足需求。


功耗:GPU 平 均 功 耗 (200W) 远 高 于 FPGA 平 均 功 耗(10W),可有效解决散热问题。


image.png

3、FPGA芯片相较于ASIC芯片


ASIC芯片专用度高,开发流程非重复成本(流片)极高,5G商用普及初期,FPGA可依托灵活性抢占市场,但规模化量产场景下,ASIC芯片更具竞争优势


ASIC与FPGA开发流程区别:


 ASIC需从标准单元进行设计,功能需求及性能需求发生变化时,ASIC芯片设计需经历重新投片,设计流程时间成本、经济成本较高。


FPGA包括预制门和触发器,具备可编程互连特性,可实现芯片功能重新配置。相对而言,ASIC芯片较少具备重配置功能。


ASIC与FPGA经济成本、时间成本区别:ASIC设计过程涉及固定成本,设计过程造成材料浪费较少,相对FPGA重复成本较低,非重复成本较高(平均超百万美元)。


FPGA重复成本高于同类ASIC芯片,规模化量产场景下,ASIC芯片单位IC成本随产量增加持续走低,总成本显著低于FPGA芯片。


FPGA无需等待芯片流片周期,编程后可直接使用,相对ASIC有助于企业节省产品上市时间。


技术未成熟阶段,FPGA架构支持灵活改变芯片功能,有助于降低器件产品成本及风险,更适用于5G商用初期的市场环境。


image.png

image.png




三、中国FPGA芯片产业链分析


FPGA芯片构成人工智能芯片重要细分市场,产业链细长,FPGA厂商作为中游企业对上游软、硬件供应商及下游客户企业议价能力均较强


中国FPGA芯片行业产业链由上游底层算法设计企业、EDA工具供应商、晶圆代工厂、专用材料及设备供应商,中游各类FPGA芯片制造商、封测厂商及下游包括视觉工业厂商、汽车厂商、通信服务供应商、云端数据中心等在内的应用场景客户企业构成。


52682.png

1、中国FPGA芯片行业产业链上游分析


FPGA芯片作为可编程器件,流片需求较少,对上游代工厂依赖度较低,需专业设计软件、算法架构支持。


底层算法架构设计企业FPGA芯片设计对底层算法架构依赖度较低,上游算法供应商对中游FPGA芯片研发制造企业议价能力有限。境外算法架构设计企业包括高通、ARM、谷歌、微软、IBM等。


专用软件供应商FPGA芯片企业需通过EDA等开发辅助软件(quartus、vivado等)完成设计。可提供EDA软件的国际一流企业(如Synopsys)向芯片研发企业收取高昂模块使用费。中国市场可提供EDA产品的企业较少,以芯禾电子、华大九天 、博达微科技等为代表,中国EDA企业研发起步较晚,软件产品稳定性、成熟度有待提高。中国FPGA芯片研发企业采购境外EDA软件产品成本高昂,远期有待境内EDA企业消除与境外同类企业差距,为中游芯片企业提供价格友好型EDA产品。


当前中国主流晶圆厂约30家,在规格上分别涵盖8英寸晶圆、12英寸晶圆。其中,8英寸晶圆厂相对12英寸晶圆厂数量较多。中国本土12英寸晶圆厂以武汉新芯、中芯国际、紫光等为例,平均月产能约65千片。在中国设立晶圆厂的境外厂商包括Intel、海力士等。中国晶圆厂发展速度较快,如武汉新芯12寸晶圆以平均月产能200千片超过海力士平均月产能160千片。


23552.png


2、中国FPGA芯片行业产业链中游分析


中国FPGA芯片行业中游企业拥有较大利润空间,随研发能力积累及应用市场成熟,中游行业格局或发生裂变,从发展硬件、器件研发业务转向发展软件、平台搭建业务。


FPGA芯片利润空间巨大:


相对CPU、GPU、ASIC等产品,FPGA芯片利润率较高。


中低密度百万门级、千万门级FPGA芯片研发企业利润率接近50%(可参考iPhone毛利率接近50%的水平)。高密度亿门级FPGA芯片研发企业利润率近70%(可以赛灵思、Intel收购的Altera为例)。


中国中游企业面临市场潜力释放节点:


相较赛灵思、Intel等巨头,中国FPGA在研发方面起步晚,但研发进度逐渐赶上(与全球头部厂商相差3代缩短至约2代)。


2017年起,中国FPGA迈入发展关键阶段(从反向设计向正向设计全面过渡)。本报告期内中美贸易摩擦加剧背景下,完成初期积累的中国FPGA行业中游企业面临较好发展机遇。相对全球集成电路领域超4,600亿美元市场规模,FPGA市场规模较小,存在增量释放空间。


产业格局或发生变化:


随FPGA行业中游企业集中度提高,行业格局或发生裂变。中国企业可通过市场策略调整,从硬件研发业务转向软件设计,从器件研发转向平台建设。


image.png

3、中国FPGA芯片行业产业链下游分析


中国FPGA芯片行业下游应用市场覆盖范围广泛,以电子通信、消费电子占据头部,工业控制、机器人控制、视频控制、自动驾驶和服务器等多领域具备巨大发展潜力。


image.png

FPGA厂商偏重通信市场及消费电子场景中国FPGA应用市场以消费电子、通信为主。本土芯片在产品硬件性能等方面落后于境外高端产品,在高端民用市场尚不具备竞争力,但短期在LED显示、工业视觉等领域出货量较高。随中国企业技术突破及5G技术成熟,中国FPGA厂商在通信领域或取得市场份额高增长。


汽车、数据中心应用紧随其后2025年后,边缘计算技术及云计算技术在智慧交通网络、超算中心全面铺开,自动驾驶、数据中心领域FPGA应用市场成长速度将超过通信、消费电子市场。


image.png

FPGA芯片下游应用市场规模增长情况:2018年,通信、消费电子、汽车三大场景构成全球FPGA芯片总需求规模约80%以上,且市场规模持续扩大。FPGA器件作为5G基站、汽车终端设备、边缘计算设备核心器件,加速效果显著,面临下游市场确定性增量需求。随中游本土企业实力提升,远期国产FPGA芯片产品或以低价优势切入下游市场,降低下游企业采购高端可编程器件成本。


四、中国FPGA芯片行业市场规模


应用场景对FPGA芯片存量需求持续提升,5G、人工智能技术发展推动中国FPGA市场扩张,刺激增量需求释放。


1、FPGA芯片行业市场规模


随下游应用市场拓展,中国FPGA行业市场规模持续提升。2018年,中国范围FPGA市场规模接近140亿元。5G新空口通信技术及机器学习技术发展将进一步刺激中国FPGA市场扩容。预计2023年,中国FPGA芯片市场规模将接近460亿元。



image.png

全球FPGA市场规模潜力将释放,主要得益于以下因素:


下游应用场景趋于广泛:FPGA芯片相对ASIC更具灵活性,可节省流片时间成本,上市时间短,应用场景从通信收发器、消费电子等拓展至汽车电子、数据中心、高性能计算、工业视觉、医疗检测等,短期内中国FPGA应用场景保持分散格局,存量市场、增量市场均存在扩容空间。


部分应用场景不可替代性:FPGA芯片在技术不稳定、灵活度需求高、需求量小的场景具备ASIC、CPU、GPU不可替代的低研发成本、制造成本优势(器件可根据具体需求完成现场编程需求)。


全球市场份额分析:


亚太市场需求显著亚太地区市场是FPGA的主要应用市场,占全球市场份额超40%。截至2018年底,中国FPGA市场规模接近140亿元,且随5G通信基础设施铺开而面临较大增量需求空间。


image.png

北美龙头企业把持头部市场北美地区赛灵思、Intel(收购Altera)保持FPGA市场双寡头垄断格局。中国FPGA市场中,赛灵思份额超过50%,Intel份额接近30%。


五、FPGA芯片设计复杂度持续提高


2016至2018年,全球FPGA研发领域高性能、高安全性可编程芯片设计项目比重提高,FPGA设计复杂度日趋提升。


安全特性需求增加,高性能FPGA芯片设计复杂度提高。


安全关键标准、指南增加:


安全特性需求增加可以安全关键标准、指南增加为表现。2016年及历史FPGA开发项目多基于一个安全关键标准进行,2018年及以后,更多FPGA研发项目以一个或多个安全关键标准、指南进行开发。


image.png

安全保证硬件模块设计项目增加:


安全保证硬件模块设计多用于加密密钥、数字权限管理密钥、密码、生物识别参考数据等领域。相对2016年,2018年全球FPGA安全特性模块设计项目占比显著增加(增幅超5%)。安全特性提升增加设计验证需求及验证复杂度。

image.png


其他设计项目提高芯片验证复杂性:


①嵌入式处理器核心数量增加:相对2016年,2018年更多FPGA设计趋向SoC类(SoC-class)设计。2018年,超过40%FPGA设计包含2个或2个以上嵌入式处理器,接近15%FPGA设计包含4个或以上嵌入式处理器,SoC类设计增加验证流程复杂性。


②异步时钟域数量增加:2018年,约90%FPGA设计项目包含2个或以上异步时钟域,多个异步时钟域验证需求增加验证工作量(验证模型趋于复杂,代码异常增加)。


六、应用场景及市场需求


1、广泛应用于机器学习强化项目


FPGA芯片更适用于非固定、非标准机器学习演化环境。


FPGA在机器学习领域表现优越• 性能对比可参考赛灵思公开测试结果针对GPU、FPGA在机器学习领域的性能表现,赛灵思曾公布reVISION系列FPGA芯片与英伟达Tegra X1系列GPU芯片基准对比结果。数据显示,FPGA方案在单位功耗图像捕获速度方面优于GPU方案6倍,在计算机视觉处理帧速率方面优于GPU方案42倍,同时,FPGA时延为GPU时延1/5。赛灵思FPGA与Intel芯片能效对比相对Intel Arria 10 SoC系列CPU器件,赛灵思FPGA器件可助力深度学习、计算机视觉运算效率提升3倍至7倍。


image.png

企业采取新架构(视觉数据传输至FPGA加速边缘服务器集群):


FPGA对流处理进行优化FPGA方案可针对视频分析、深度学习推理进行流处理(大数据处理手段技术之一)优化。基于灵活可编程特点,FPGA方案可满足重新配置需求,适用于库存管理、欺诈控制、面部识别等普通模型以及跟踪、自然语言交互、情感检测等复杂模型。


初创企业积极采取FPGA方案初创企业如Megh Computing、PointR.ai等积极采用FPGA方案建立新型视频数据处理架构,发挥紧凑、低功耗计算模块优势。

image.png


2、5G通信体系建设提高对FPGA芯片需求


通信场景是FPGA芯片在产业链下游应用最广泛的场景(占比约40%),随5G通信技术发展、硬件设备升级(基站天线收发器创新),FPGA面临强劲市场需求驱动。


5G通信规模化商用在即,推动FPGA芯片用量提升、价格提升空间释放。

image.png

新型基站天线收发器采用FPGA芯片5G时期Massive MIMO基站技术条件下,基站收发通道数量从16T16R(双模解决方案)提升至最高128T128R,可采用FPGA芯片实现多通道信号波束成形。如64通道毫米波MIMO全DBF收发器中频和基带子系统采用赛灵思Kintex-7系列FPGA。中频和基带子系统叠加实现通用无线接入功能。


在FPGA芯片行业内有10年以上产品开发、 算法研究经验的行业专家表示,FPGA相对CPU、GPU在功耗及计算速度方面具备优势,通信设备企业将加大FPGA器件在基站天线收发器等核心设备中的应用(如头部移动通信设备厂商京信通信于新型收发器产品嵌入FPGA芯片)。


全球FPGA通信市场快速增长截至2018年底,全球FPGA通信市场占据应用市场整体近45%。2020年至2025年,全球FPGA通信市场规模年复合增长率预计近10%。 


5G基础设施将以FPGA器件为核心组件5G通信市场增长具备确定性。相关基础设施(机房、宏站、微站等)渗透物联网、边缘计算等多元领域,5G基建项目以FPGA为核心零部件,推动FPGA价格上升空间释放。 


未来10年,小基站数量或超10,000座,基站数量带动FPGA器件用量提升。


5G MIMO基站面临数据高并发处理需求,单个基站FPGA用量整体提高(从4G时期2至3块增加至5G时期4至5块)。


现阶段基站用FPGA均价处于100元以内,技术复杂度提高等因素推动价格走高(>100元)。


3、自动驾驶规模化商用提升对FPGA需求


FPGA巨头纷纷看好自动驾驶赛道截至2018年底,全球汽车半导体行业市场规模接近400亿美元,其中,FPGA应用于汽车半导体领域市场仅占约2.5%。自动驾驶系统对车载芯片提出更高要求,主控芯片需求从传统GPU拓展至ASIC、FPGA等芯片类型。


现阶段,FPGA芯片在车载摄像头、传感器等硬件设备中的应用趋于成熟。此外,得益于编程灵活性,FPGA芯片在激光雷达领域应用广泛。自动驾驶汽车高度依赖传感器、摄像头等硬件设备及车内网等软件系统,对FPGA芯片数量需求显著。头部FPGA厂商(如赛灵思)抢占智能驾驶赛道,逐步加大与车企及车联网企业的合作,截至2018年底,赛灵思FPGA方案嵌入车型拓展至111种。


image.png

FPGA在自动驾驶系统领域应用覆盖面广FPGA芯片在自动驾驶领域可应用于ADAS系统、激光雷达、自动泊车系统、马达控制、车内娱乐信息系统、驾驶员信息系统等板块,应用面广泛。具体可以魔视智能自动泊车系统为例,该系统将FPGA芯片接入车内网CAN总线,连接蓝牙、SD卡等通信组件,并通过MCU等与摄像头、传感器装置连接。FPGA大厂赛灵思积极布局ADAS领域。远期ADAS系统更趋复杂(包括前视摄像头、驾驶监视摄像头、全景摄像头、近程雷达、远程激光雷达等),推动FPGA用量空间增大。2025年,自动驾驶进入规模化商用阶段,将持续推动FPGA与汽车电子、车载软件系统的融合。


image.png


七、中国FPGA芯片行业制约因素——FPGA设计人才团队实力匮乏


FPGA芯片设计领域门槛高(高于CPU、存储器、DSP),中国本土厂商起步晚,处于产业生态建设初期阶段,在人才资源储备方面基础薄弱。


1、相对国际市场,中国FPGA芯片设计人才储备不足


中国FPGA领域人才储备约为美国相应人才储备1/10。根据中国国际人才交流基金会等机构发布的《中国集成电路产业人才白皮书》显示,截至2018年底,中国集成电路产业存量人才约40万人,该产业人才需求约于2020年突破70万人,存在约30万人以上人才缺口。在FPGA板块,美国头部厂商Intel、赛灵思、Lattice等及高校和研究机构相关人才近万人,相对而言,中国FPGA设计研发人才匮乏,头部厂商如紫光同创、高云半导体、安路科技等研发人员储备平均不足200人,产业整体人才团队不足千人,成为制约中国FPGA芯片行业技术发展、产品升级的核心因素。


2、行业发展起步晚,产学研联动缺失


中国FPGA行业于2000年起步,美国则具备自20世纪80年代研发起步的背景。2010年,中国FPGA芯片实现量产。美国高校与芯片厂商联动紧密,将大量技术输送给企业,相较而言,中国企业缺乏与高校等研究机构合作经验,产学研联动不足,行业现有核心人才多从海外引进。


3、研发实力匮乏制约企业成长


全球头部FPGA厂商依托专利技术积累及人才培养,以及早于中国企业20年的发展经历,在全球范围牢固占据第一梯队阵营。FPGA行业进入门槛高,中国头部企业较难取得后发优势。现阶段,赛灵思已进入7纳米工艺亿门级高端FPGA产品研发阶段,中国头部厂商如紫光同创、高云半导体等启动28纳米工艺千万门级(7,000万)中高密度FPGA研发工作,与全球顶尖水平相差约2代至3代,亟需人才资源支持。

image.png


八、中国FPGA芯片行业政策法规——政策分析


为进一步引导FPGA行业有序发展,凸显集成电路产业战略地位,国家政策部门整合行业、市场、用户资源,为中国集成电路企业向国际第一梯队目标发展打造政策基础。


“十二五”以来,国家强调集成电路产业作为先导性产业的地位,更加重视芯片科技发展对工业制造转型升级和信息技术发展的推动力。国家从市场需求、供给、产业链结构、价值链等层面出发,出台多项利好政策。


3265456+.png

九、全球FPGA市场竞争格局


全球FPGA芯片市场竞争高度集中,头部厂商占领“制空权”,新入局企业通过产品创新为行业发展提供动能,智能化市场需求或将FPGA技术推向主流。


全球FPGA市场由四大巨头Xilinx赛灵思,Intel英特尔(收购Altera)、Lattice莱迪思、Microsemi美高森美垄断,四大厂商垄断9,000余项专利技术,把握行业“制空权”。


截至2018年底,全球范围FPGA市场规模由赛灵思占据首位(49%),英特尔(Altera)占比超30%,Lattice及Microsemi占据全球市场规模均超5%。相对而言,中国厂商整体仅占全球FPGA市场份额不足3%。


FPGA芯片行业形成以来,全球范围约有超70家企业参与竞争,新创企业层出不穷(如Achronix Semiconductor、MathStar等)。产品创新为行业发展提供动能,除传统可编程逻辑装置(纯数字逻辑性质),新型可编程逻辑装置(混讯性质、模拟性质)创新速度加快,具体如Cypress Semiconductor 研 发 具 有 可 组 态 性 混 讯 电 路 PSoC(Programmable System on Chip),再如Actel推出Fusion(可程序化混讯芯片)。此外,部分新创企业推出现场可编程模拟数组FPAA(Field Programmable Analog Array)等。


随智能化市场需求变化演进,高度定制化芯片(SoC ASIC)因非重复投资规模大、研发周期长等特点导致市场风险剧增。相对而言,FPGA在并行计算任务领域具备优势,在高性能、多通道领域可以代替部分ASIC。人工智能领域多通道计算任务需求推动FPGA技术向主流演进。


基于FPGA芯片在批量较小(流片5万片为界限)、多通道计算专用设备(雷达、航天设备)领域的优势,下游部分应用市场以FPGA取代ASIC应用方案。


image.png

国际竞争格局:全球FPGA市场早期由美国两大巨头(赛灵思、Altera)高度垄断,经市场一系列并购行为及初创团队影响,国际市场第一梯队阵营扩容(包括赛灵思、Intel、Lattice、Microsemi、Achronix、Flexlogic、Quicklogic等)。

69.png

中国FPGA厂商:中国FPGA芯片研发企业可以紫光同创、国微电子、成都华微电子、安路科技、智多晶、高云半导体、上海复旦微电子和京微齐力为例。从产品角度分析,中国FPGA硬件性能指标相较赛灵思、Intel等差距较大。紫光同创是当前中国市场唯一具备自主产权千万门级高性能FPGA研发制造能力的企业。上海复旦微电子于2018年5月推出自主知识产权亿门级FPGA产品。中国FPGA企业紧跟大厂步伐,布局人工智能、自动驾驶等市场,打造高、中、低端完整产品线。


中国FPGA企业竞争突破口:现阶段中国FPGA厂商芯片设计软件、应用软件不统一,易在客户端造成资源浪费,头部厂商可带头集中产业链资源,提高行业整体竞争力。


十、中国FPGA芯片行业TOP10企业


中国FPGA芯片行业竞争主体包括研发类企业及应用解决方案供应商,随人工智能、物联网、5G技术速发展推动,中国FPGA厂商迎来市场切入最佳时期。


中国FPGA厂商特点:FPGA芯片行业竞争高度集中,中国FPGA厂商多以40nm、55nm产品系列为主,在中国市场及全球市场竞争力尚不可与赛灵思、英特尔匹敌(制造工艺、规模容量、软件能力均处于劣势)。中国厂商亟需在高集中度市场中寻求突围路径。2017年,通信行业刚需加速FPGA芯片国产化进程,但中国厂商多采取“低价竞争”策略,无法实现良性、可持续竞争。

中国厂商突破竞争瓶颈可从两方面着手:FPGA制造工艺从28nm向16nm过渡,并向国际领先水平7nm靠近,芯片逻辑单元规模从500K向1M、2M过渡,远期可挑战3D芯片技术。厂商可依托可测性设计、可靠性设计、高测试标准、量产管理突破质量瓶颈,采取“技术+产品+管理”策略取胜。


芯片开发企业:中国市场FPGA研发企业可以京微齐力、复旦微电子、紫光同创、高云半导体、安路科技、智多晶等为例。


应用解决方案企业:应用解决方案供应商具体可以联捷科技、深维科技、傲睿智存为例。


image.png

TOP10企业特点:


中国FPGA芯片行业TOP10企业逐步推进FPGA技术国产化进程,受制造能力、封测工艺、IP资源等因素影响,中国FPGA芯片企业技术创新实力亟待提升。

image.png

紫光同创:推出自主知识产权的大规模FPGA开发软件Pango DesignSuite,可支持千万门级FPGA器件设计开发


高云半导体:推出中国首颗55nm嵌入式Flash SRAM非易失性FPGA芯片,实现可编程逻辑器件、嵌入式处理器无缝连接


安路科技:开展28nm、12nm千万门级、五千万门级FPGA、SoCFPGA研发工作,自主开发HDL描述至片上调试的完整系统


遨格芯微:推出中国首个通用FPGA产品系列,在软件及硬件引脚封装等方面保持较高相互兼容性,支持低中高端嵌入式应用和升级


复旦微电子:集成专用超高速串并转换模块、高灵活可配置模块、等适用亿门级FPGA应用的模块电路


智多晶:实现55nm、40nm工艺中密度FPGA量产,自主研发FPGA开发软件“HqFpga”, 支持布局布线、时序分析、内逻辑分析等任务


京微齐力:采用40纳米工艺芯片应用于智能穿戴设备领域,具备100项以上FPGA专利及专有技术(国际专利)授权及二次开发权


联捷科技:研发基于CNN的图片分析技术,推出FPGA加速方案,可将基于神经网络的人脸识别速度提升两倍。


深维科技:侧重于FPGA AI应用开发,团队具备Cadence、IBM、中科院等大厂经验,产品工程能力占据优势。


傲睿智存:提供新型FPGA视频转解码服务,无需更换、添加硬件即可支持深度学习应用直接开发。


十一、专家观点——中国FPGA芯片行业投资逻辑及风险概述


专家建议未来5年内,投资团队关注中国FPGA市场可依据先C端后B端,先应用场景后技术开发,先产品级后芯片级的投资逻辑注入资金。


投资逻辑:


由C端至B端:中国范围C端市场(应用侧)易出爆款,短期内高清云游戏、高清视频可催生大量应用场景。随用户端应用场景数量增加,设备对底层计算资源依赖度提升,市场规模扩容较快,专家建议投资团队寻找有应用落地价值的细分场景进行投资(金融大数据分析、图像视频处理、基因测序、精准医疗、语音识别、图像识别等)。C端应用成熟后,投资团队可集中考虑B端需求,被投对象可集成解决方案、FPGA第三方,自主开发PaaS平台,针对银行客户、政府客户等提供产品化服务。


由场景至技术:FPGA芯片底层技术研发难度高,投入大,专家建议投资团队应从场景投资逐步过渡至技术投资,技术投资思路可参考海康、大华、深鉴科技等企业研发模式。该类企业利用FPGA半定制化基础做边缘侧芯片(倾向人脸识别、安防轨迹跟踪、新零售场景摄像头等),该类技术基于既有硬件做再开发,投资风险相对底层技术研发较小。


image.png

深圳市卓精微智能机器人设备有限公司成立于2010年,是一家专业研究IC(集成电路)的烧录、功能测试、物料、标识、包装转换以及CCD来料检查等技术的高科技企业。公司拥有多项国家级软件和技术专利类自主知识产权,拥有一批由博士后、博士、硕士组成的专家级技术团队,公司自主经营、自主品牌,是国内IC烧录/测试智能设备行业的领军型企业。

image.png

文章来源:网络,本文系网络转载,版权归原作者所有。但因转载众多,无法确认真正原始作者,故仅标明转载来源。本文所用视频、图片、文字如涉及作品版权问题,请第一时间告知,我们将立即删除内容!本文内容为原作者观点,并不代表本公众号赞同其观点和对其真实性负责。


最新新闻
查看更多
+

在线咨询

点击咨询
QQ:1074385598